grattan (version 2024.1.1)

prohibit_unequal_length_vectors: Prohibit unequal length vectors

Description

Tests whether all vectors have the same length.

Usage

prohibit_unequal_length_vectors(...)

Value

An error message unless all of ... have the same length in which case NULL, invisibly.

Arguments

...

Vectors to test.